A Low Power SRAM Cell with High Read Stability

Main Article Content

N.M. Sivamangai
K. Gunavathi

Abstract

In this paper, a 9T static random access memory (SRAM) cell design which consumes less dynamic power and has high read stability is proposed. In conventional six transistor (6T) SRAM cell, read stability is very low due to the voltage division between the access and driver transistors during read operation. Existing 9T SRAM cell design increases the read static noise margin (SNM) by twice as compared to conventional 6T SRAM cell by completely isolating the bit-lines during the read operation. But the write operation is performed in this cell, by charging/discharging of large bit line capacitances causing 22.5% increase in dynamic power consumption. In the proposed technique, the SRAM cell utilizes charging/discharging of a single bit-line (BL) during write operation, resulting in reduction of dynamic power consumption by 45% as compared to a conventional 6T SRAM cell while the read SNM is also maintained at twice the read SNM of the conventional 6T SRAM cell. All simulations of the proposed 9T SRAM cell
has been carried out in 0.13 ¹m CMOS technology.

Article Details

How to Cite
Sivamangai, N., & Gunavathi, K. (2009). A Low Power SRAM Cell with High Read Stability. ECTI Transactions on Electrical Engineering, Electronics, and Communications, 9(1), 16–22. https://doi.org/10.37936/ecti-eec.201191.172252
Section
Research Article

References

[1] S. Borkar, T. Karnik, S. Narendra, J.T schanz, A. Keshavarzi, and V.de, "Parameter Variations and Impact on Circuits & Microarchitecture," Proceedings of Design Automation Conerence., pp. 338-342, Jun.2003.

[2] A.J. Bhavnagarwala, X. Tang, and J. Meindl, "The impact of Intrinsic Device Fluctuations on CMOS SRAM Cell Stability," IEEE Journal of Solid State Circuits, vol. 36, No. 4, pp. 658-665, April 2001.

[3] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Modeling and estimation of failure probability due to parameter variations in nano-scale SRAMs for yield enhancement," In VLSI circuit Symposium, 2004.

[4] K. Agarwal, and S. Nassif, "Statistical analysis of SRAM stability," Proceedings of 43rd Annual Conferenc on Design Automation, pp. 57, 2006.

[5] E. Seevinck, F.J. List, and J. Lohstroh, "Static Noise Margin Analysis of MOS SRAM cells," IEEE Journal of Solid State Circuits, vol.22, No.5, pp. 748-754, Oct. 1987.

[6] J. Lohstroh, E. Seevinck, and J.de Groot, "Worst-case static noise margin criteria for logic circuits and their mathematical equivalence," IEEE Journal of Solid State Circuits, vol.SC-18, No.6, pp. 803-807, Dec. 1983.

[7] L. Chang, R.K. Montoye, Y, Nakamura, K.A. Batson, R.J. Eickemeyer, R.H. Dennard, W. Haensch, and D. Jamsek, "An 8T SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches," IEEE Journal of Solid State Circuits, vol. 43, No. 4,pp. 956-963, April 2008.

[8] S. Lin, Y.B. Kim, and F. Lombardi, "A Highly Stable Nanometer Memory for Low-Power Design," Proceeding of IEEE International Workshop on Design and Test of Nano Devices, Circuits and Systems, pp. 17-20, 2008.

[9] Z. Liu, and V. Kursun, "Characterization of a Novel Nine-Transistor SRAM Cell," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, No. 4, pp. 488-492, April 2008.

[10] K. Agarwal, and S. Nassif, "The Impact of Random Device Variations on SRAM Cell stability in Sub-90-nm CMOS Technologies," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.16, No. 1, pp. 86-97, Jan 2008.

[11] Neil H.E.Weste, Kamran Eshraghian, Principles of CMOS VLSI Design, Pearson Education, Inc., Singapore, 2002.

[12] Sung-Mo Kang, Yusuf Leblebici, CMOS Digital Integrated Circuits, McGraw-Hill Companies, Inc., New York, 2003.

[13] Dake Liu, and Christer Svenson, "Power Consumption Estimation in CMOS VLSI Chips," IEEE Journal of Solid State Circuits, vol. 29, No. 6, pp. 663-670, June 1994.