Accurate Estimation of Power Consumption for Binary Comparator System using Back Tracking

Main Article Content

Mangal Deep Gupta
‪Rajeev Kumar Chauhan

Abstract

This paper presents a binary comparator circuit design using minimum fan-in logic gates (NAND-NOR) to achieve a low power-delay product (PDP). A 2-bit binary comparator circuit is re-designed to minimize logic gate fan-in. Utilizing the concept of a 2-bit comparator, the general gate-level architecture of a comparator system is proposed for higher input operands. In this work, a backtracking model is proposed to estimate the worst-case performance in terms of delay and power for binary comparator circuits. It combines the advantages of the simulation-based method for power estimation and dynamic timing analysis (DTA) techniques for timing analysis. This work also extends to 20, 16, 14, 10, and 7-nm FinFET technology. The comparator circuits are simulated on the Pyxis Schematic tool using Mentor Graphics.

Article Details

How to Cite
Gupta, M. D., & Chauhan, ‪Rajeev K. (2021). Accurate Estimation of Power Consumption for Binary Comparator System using Back Tracking. ECTI Transactions on Electrical Engineering, Electronics, and Communications, 19(2), 220–231. https://doi.org/10.37936/ecti-eec.2021192.244122
Section
Publish Article

References

S.-W. Cheng, “Arbitrary long digit integer sorter HW/SW co-design,” in Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), 2003, pp. 538–543.

R. Woo, S. Choi, J. H. Sohn, S. J. Song, Y. D. Bae, and H. J. Yoo, “A low-power 3-D rendering engine with two texture units and 29-Mb embedded dram for 3G multimedia terminals,” IEEE Journal of Solid-State Circuits, vol. 39, no. 7, pp. 1101–1109, July 2004.

M. D. Gupta and R. K. Chauhan, “Efficient Hardware Implementation of Pseudo-Random Bit Generator Using Dual-CLCG Method,” Journal of Circuits, Systems and Computers, Feb. 2021, Art. no. 2150182.

M. D. Gupta and R. K. Chauhan, “Coupled Variable Input-LCG and Clock Divider based Large Period Pseudo-Random Bit Generator on FPGA,” IET Computers & Digital Techniques, Apr. 2021.

D. Kim, K. Kim, J. Y. Kim, S. Lee, and H. J. Yoo, “An 81.6 GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory,” in Proceedings of the IEEE 2007 Custom Integrated Circuits Conference (CICC), 2007, pp. 443–446.

D. G. Lowe, “Distinctive image features from scale-invariant keypoints,” International Journal of Computer Vision, vol. 60, pp. 91–110, 2004.

W. San-Um and T. Masayoshi, “A Low-Cost High-Speed Pulse Response Based Built-In Self Test For Analog Integrated Circuits,” ECTI Transactions on Electrical Engineering, Electronics, and Communications, vol. 8, no. 2, pp. 197–208, 2009.

I.-C. Wey, T.-C. He, H.-C. Chow, P.-H. Sun, and C.-C. Peng, “A high-speed, high fan-in dynamic comparator with low transistor count,” Journal of Circuits, Systems and Computers, vol. 101, no. 5, pp. 681–690, 2013.

O. S. Fadl, M. F. Abu-Elyazeed, M. B. Abdelhalim, H. H. Amer, and A. H. Madian, “Accurate dynamic power estimation for CMOS combinational logic circuits with real gate delay model,” Journal of Advanced Research, vol. 7, no. 1, pp. 89–94, Jan. 2016.

A. S. Aldeen and H. Al-Asaad, “A new method for power estimation and optimization of combinational circuits,” in Proceedings of the International Conference on Microelectronics (ICM), 2007, pp. 395–398.

S. M. Kang, “Accurate Simulation of Power Dissipation in VLSI Circuits,” IEEE Journal of Solid-State Circuits, vol. 21, no. 5, pp. 889–891, Oct. 1986.

S. Bhanja and N. Ranganathan, “Switching Activity Estimation of VLSI Circuits Using Bayesian Networks,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 11, no. 4, pp. 558–567, Aug. 2003.

H. Li, J. K. Antonio, and S. K. Dhall, “Fast and precise power prediction for combinational circuits,” in Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2003, pp. 254–259.

F. MacHado, T. Riesgo, and Y. Torroja, “Disjoint region partitioning for probabilistic switching activity estimation at register transfer level,” in PATMOS 2008: Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation (Lecture Notes in Computer Science, vol. 5349), L. Svensson and J. Monteiro, Eds. Berlin, Germany: Springer, 2009, pp. 399–408.

G. Wang, S. Sheng, and L. Ji, “New efficient design of digital comparator,” in Proceedings of International Conference on ASIC, 1996, pp. 263–266.

D. Kythe and P. Kythe, “Digital Arithmetic,” in Algebraic and Stochastic Coding Theory. San Francisco, CA, USA: Morgan Kaufmann Publishers, 2017, pp. 15–42.

C.-C. Wang, C.-F. Wu, and K.-C. Tsai, “1GHz 64-bit high-speed comparator using ANT dynamic logic with two-phase clocking” IEE Proceedings - Computers and Digital Techniques, vol. 145, no. 6, pp. 433–436, 1998.

C. H. Huang and J. S.Wang, “High-performance and power-efficient CMOS comparators,” IEEE Journal of Solid-State Circuits, vol. 38, no. 2, pp. 254–262, 2003.

H.-M. Lam and C.-Y. Tsui, “High performance single clock cycle CMOS comparator,” in 2006 IEEE International Symposium on Circuits and Systems (ISCAS), 2006, pp. 779-782.

J. Y. Kim and H. J. Yoo, “Bitwise competition logic for compact digital comparator,” in 2007 IEEE Asian Solid-State Circuits Conference (ASSCC), 2007, pp. 59–62.

K. Furuya, “Design methodologies of comparators based on parallel hardware algorithms,” in 2010 10th International Symposium on Communications and Information Technologies (ISCIT), 2010, pp. 591–596.

S. Veeramachaneni, M. K. Krishna, L. Avinash, P. Sreekanth Reddy, and M. B. Srinivas, “Efficient design of 32-bit comparator using carry look-ahead logic,” in 2007 IEEE North-East Workshop on Circuits and Systems (NEWCAS), 2007, pp. 867–870.

S. Perri and P. Corsonello, “Fast low-cost implementation of single-clock-cycle binary comparator,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 55, no. 12, pp. 1239–1243, Dec. 2008.

F. Frustaci, S. Perri, M. Lanuzza, and P. Corsonello, “A new low-power high-speed single-clock-cycle binary comparator,” in 2010 IEEE International Symposium on Circuits and Systems (ISCAS), 2010, pp. 317–320.

P. Chuang, D. Li, and M. Sachdev, “A low-power high-performance single-cycle tree-based 64-bit binary comparator,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 59, no. 2, pp. 108–112, 2012.

P. I. J. Chuang, M. Sachdev, and V. C. Gaudet, “A 167-ps 2.34-mW single-cycle 64-Bit binary tree comparator with constant-delay logic in 65-nm CMOS,” IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 61, no. 1, pp. 160–171, Jan. 2014.

C. Chua, R. B. N. Kumar, and B. Sireesha, “Design and analysis of low-power and area efficient N-bit parallel binary comparator,” Analog Integrated Circuits and Signal Processing, vol. 92, pp. 225–231, 2017.

H. Naseri and S. Timarchi, “Low-Power and Fast Full Adder by Exploring New XOR and XNOR Gates,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 8, pp. 1481–1493, 2018.

Nanoscale Integration and Modeling (NIMO) Group, Arizona State University. “Latest Model”. http://ptm.asu.edu/latest.html